Aldec Design and Verification Blog

Trending Articles
Verification Effectiveness with Riviera-PRO: SystemVerilog Randomized Layered Testbench
Understanding SystemVerilog Layered Testbench

In this blog, I will discuss randomized layered testbenches used in SystemVerilog. We need to understand why we need it,...

Like(4) Comments (0) Read more
ARM Cortex SoC Prototyping Platform
for Industrial Applications

Modern industrial systems are faced with many key design challenges including: system complexity, real-time performance requirements, evolving standards, and rising costs. ASIC prototyping platforms, such as Aldec HES-7™, provide a platform for designers to implement and verify functionality of...

Like(1) Comments (0) Read more
Using Plots for HDL Debugging
A Powerful Alternative to Traditional Waveforms

The most commonly used approach to analyzing objects in an HDL design is based on well-known digital waveforms available with any commercial simulator today. Such a time domain representation of data with respect to time, allows verifying many parameters of a designed digital system, but it may not be efficient for...

Like(1) Comments (0) Read more
Fast Track™ to SystemVerilog for Verilog Users
Aldec’s Latest Free Online Training

Many experienced Verilog users tend to ignore SystemVerilog - mainly because high-end verification features of the new language are getting the majority of the  attention in the press, and at conferences and trade shows. Those users may not realize that there are many SystemVerilog features that are very useful for...

Like(1) Comments (0) Read more
Those Pesky SystemVerilog Interfaces...

SystemVerilog introduced numerous ideas new to Verilog programmers. Some of them enhanced hardware descriptions (e.g. always_ff block), some were meant to enhance verification (e.g. classes) and some were cross-over enhancements that can be used in many different contexts. SystemVerilog interface...

Like(1) Comments (0) Read more
Fastest Co-Simulation Interfaces
For MATLAB®, Simulink®, SystemVue®

Aldec Riviera-PRO™ offers the fastest direct co-simulation interfaces with MathWorks MATLAB® & Simulink® and Agilent SystemVue®, enabling multi-domain electronic system-level (ESL) design flow for DSP, RF, and FPGA/ASIC design....

Like(1) Comments (0) Read more
Shaping the Future of ASIC/FPGA DSP Design Flow
Take our survey

Aldec is conducting a brief survey through April 30, 2013, to better address the challenges and requirements faced by DSP designers in the field. As a thank you, a random drawing will be held among survey participants to receive a $100 Amazon giftcard...

Like(1) Comments (0) Read more
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.