Tool Assessment and Qualification Process

The purpose of tool assessment and qualification in DO-254 is to ensure that the tool is capable of performing the particular design or verification activity to an acceptable level of confidence for which the tool will be used. Prior to use of any tools in DO-254 for any design and verification activities, a tool assessment should be performed, and if necessary a basic tool qualification should be documented and recorded.

 

Aldec has done the due diligence to rigorously test its tools according to the stringent process defined in RTCA/DO-254 Section 11.4 Tool Assessment and Qualification Process. Whenever feasible, Aldec recommends manual review of the verification results in order to claim independent assessment. If manual review is not feasible, then Aldec provides specific Tool Qualification Data Packages for specific Aldec DO-254 tools.

 

DO-254/CTS™ Tool Qualification Data Package

Includes a comprehensive pre-tool qualification data package that the applicant can easily adapt into their life cycle data. This data package is recommended to be used for design assurance level (DAL) A and B FPGAs where reliance to the tool’s automatic capabilities is critical to testing the target FPGA. Included in the data package are:

  • Tool Qualification Plan – The tool qualification plan is to show that DO-254/CTS behaves as expected and will not fail to detect any design errors to an acceptable level of confidence. The DO-254/CTS components such as custom CVT software, custom daughter board and COTS mother board will be rigorously tested using the diagnostic design provided by Aldec. The diagnostic design mimics the customer design under test (DUT) in terms of I/O interface and clocking.
  • Tool Operational Requirements – Specific tool operational and functional requirements have been captured and grouped into 5 categories: Information, Diagnostic, Configuration, Error Detection and Comparison.
  • Tool Test Plan – Test cases have been captured to verify the specific tool requirements. Each test case includes test description, test procedure, VHDL design, test scripts, input files and expected results.   Each test can be repeated by the customer in their lab using the provided test scripts.
  • Tool Qualification Accomplishment Summary – Documentation of the test results for determining whether test cases passed or failed including the traceability matrix between tool requirements, test cases and test results. Also includes review activity reports for tool requirements, tool test cases and test results. Reviews are conducted by Aldec personnel based on a checklist.

 

ALINT-PRO™ Design Rule Checker Tool Qualification Package

The customizable tool qualification package includes the comprehensive test suite and documentation required to prove that the design rule checkers available in ALINT-PRO™ behave as intended for a user project. This package is recommended to be used for projects with A and B Design Assurance Level (DAL) where ALINT-PRO™ is used to enforce the HDL coding standard. The qualification package contains:

  • Qualification Test Suite including HDL source files, scripts and patterns required to verify whether the ALINT-PRO™ tool properly detects design rule violations. The test suite covers all ALINT-PRO™ rules selected by the user to be included in the project. Every rule checker is verified in isolation from other checkers against positive and negative cases.
    The user can execute the test suite on the desired environment to get the final report confirming the correct behavior of the tool.
  • Qualification Document including the tool description, tool operational requirements, qualification test plan along with test descriptions, qualification tests results and traceability between the user’s design standard requirements and ALINT-PRO™ linting rules.

 

Active-HDL™ and Riviera-PRO™ Code Coverage Tool Qualification Data Package

Currently, the guidance described in RTCA/DO-254 Section 11.4.1 #4 states that when Code Coverage tool is used to satisfy Elemental Analysis, tool qualification is not needed. However, some certification authorities have required Code Coverage to undergo tool qualification for specific DO-254 programs.  Aldec has therefore done the due diligence to rigorously test Active-HDL™ and Riviera-PRO™ Code Coverage under stringent tool qualification process defined in RTCA/DO-254 section 11.4. The requirements generated to test Code Coverage are based on the executable constructs of VHDL Language Reference Manual (LRM) from chapter 4, 10 and 11 of IEEE Std 1076™-2008 VHDL LRM. Aldec provides a data package that includes VHDL test cases and extensive documentation containing the tool description, tool operational requirements, qualification test plan along with the test descriptions, and qualification test results. The qualification package proves that the Code Coverage Tool available in Active-HDL™ and Riviera-PRO™ shows accurate branch and statement coverage metrics.

 

Independent Tool Assessment of HDL Simulator

HDL simulators such as Active-HDL, Riviera-PRO and other 3rd-party HDL simulators can be independently assessed by DO-254/CTS. Since the testbench from RTL simulation can be reused by DO-254/CTS as test vectors during FPGA physical testing, mapping and matching the physical testing and RTL simulation results can be easily accomplished.

 

 

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.