Active-HDL™ - FPGA Design and Simulation Made Easy.

FPGAデザイン作成とシミュレーション

Active-HDL™はWindows®で動作するチーム環境向けFPGAデザイン作成およびシミュレーションの統合ソリューションです。Active-HDLの統合デザイン環境(IDE)には、完全なHDLおよびグラフィカル・デザインツールとRTL/ゲートレベルの混合言語シミュレータがあり、FPGAデザインを短期間で開発から検証までできるようになっています。

デザインフロー・マネージャはデザインエントリ、シミュレーション、合成およびインプリメンテーションの間で200種類以上のEDAツールやFPGAツールを起動できますので、開発チームはFPGAデザインプロセスにおいて一貫して同じプラットフォームを維持できます。Active-HDLはIntel®、Lattice®、Microchip®、Quicklogic®、Xilinx®など、主要なFPGAデバイスをすべてサポートしています。

fpga simulation, vhdl simulation, fpga simulator

主な機能と利点

プロジェクト管理

  • チームベースの統一されたデザイン環境でローカルまたはリモート・チームにわたって統一性を維持可能

  • 設定可能なFPGA/EDAフロー・マネージャインタフェースは200種類以上のベンダツールとインタフェースを取れるので、FPGA開発中一貫して1つのプラットフォームを使い続けられます

グラフィカル/テキスト・デザインエントリ

  • テキスト、スケマティックおよびステートマシンを活用してデザインを素早く作成

  • セキュアで信頼性の高い相互利用可能な暗号化規格でIPを配布・送付

シミュレーションとデバッギング

  • 共通カーネルの強力なVHDL/Verilog/SystemVerilog/SystemC対応混合言語シミュレータ

  • グラフィカルでインタラクティブなデバッギングとコード高品質化ツールでコードの品質と信頼性を確保

  • コードカバレッジ解析ツールで測定基準に基づく検証を実施、デザインの実行されない部分を特定

  • ABV(アサーションベース検証(SVA, PSL))で検証の質をアップ、より多くのバグを発見

  • SV ファンクションカバレッジ、コンストレイントランダム、UVMなどの高度な検証構成をシミュレートする機能
  • HDLシミュレーションとDSPブロック用の高抽象度数学モデル環境のギャップはMATLAB®/Simulink®インタフェースで埋めます

HTML/PDFドキュメンテーション

  • HDL-スケマティックコンバータでデザインの核心部分を抜き出し分かりやすいグラフィックで表現

  • デザインドキュメントをHTMLやPDFで自動生成、すぐにデザインを共有可能

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.