Why Digital Design Students choose Active-HDL™

Mixed-language simulation for VHDL-2008, Verilog and SystemVerilog (Design)

Satyam Jani, Product Manager Software Division
Like(2)  Comments  (0)

Active-HDL™ STUDENT EDITION is a popular solution for university students looking to enhance their digital design learning experience. A mixed-language simulator that supports VHDL-2008, Verilog and SystemVerilog (Design), Active-HDL STUDENT EDITION is available at no cost for university students and is absolutely packed with features. (I should point out here that the full-grown version of Active-HDL is just as popular, but I’d like to lend the spotlight to our student version today.)

Active-HDL STUDENT EDITION hasn’t dominated in just one category to become a popular choice for students…  it has achieved 5 star ratings in almost every area: Easy access, Intuitive user interface, Text, FSM/Schematic Entry tools, Time-Saving features, Vendor-Independence, Resources and Support.

 

Let’s take a closer look.

 

Load and Go Access

It’s very simple. There are no complicated instructions or step to download and use the tool. Seriously. Take a look below at the actual instructions. Only three steps to get both the tool and the license.

blog_img_04_020314_300

 

 

 

 

 

Enhanced Learning Experience

Active-HDL STUDENT EDITION offers many tools that enhance learning experience such as Language Assistant, IP Core Generator, Code2Graphics and more.

 

blog_img_02_020314_500Language Assistant

The Language Assistant is a tool designed to help develop VHDL, Verilog, SystemVerilog, OVA, PSL, SystemC source code with a number of templates and prepared pieces of code.

 

 

 

 

blog_img_01_020314_500IP Core Generator

IP CORE Generator is a tool that comes with a rich set of parameterized modules. They are ready-to-use in any VHDL- or Verilog-based system. All modules can be synthesized in synthesis tool with very good results of speed and area.

 

All of this is delivered with excellent documentation that helps students understand the generated component.

 

Code2Graphics

The Code2Graphics™ converter is a tool designed for automatic translation of VHDL or Verilog/SystemVerilog source code into Active-HDL block and state diagrams. This allows students to take HDL code and convert into block level schematics to understand the code better. It’s a great tool when students have to present their design work or code to other students or their professor.

Xilinx.. or Altera.. or Lattice.. or Microsemi

Active-HDL Student Edition is equipped with vendor independent FPGA flow, which allows students to work with any FPGA vendor device they choose. Further, simulation of vendor devices is made much simpler as the student version of Active-HDL provides pre-compiled simulation libraries. This means students don’t have to compile those libs themselves – a huge time saver.

Resources

Aldec is committed to advancing education for future engineers in leading-edge methodologies and tools and supports students with Resources and Training, to include no-cost access to Fast Track™ ONLINE Training.

By now you probably realize I am a big-believer in this solution. I literally could go on and on, but I would love if you would find out for yourself. Just follow this link, follow three easy steps to download.. and start exploring.  

Happy coding…

 

Satyam manages Aldec’s leading FPGA design entry and simulation tool – Active-HDL. He received his B.S. in Electronics Engineering from Sardar Patel University, India in 2003 and M.S in Electrical Engineering from NJIT, New Jersey in 2005.  His practical engineering experience includes areas in Solid state electronics, Digital Designing and functional verification. He has worked in wide range of engineering positions that include FPGA Design Engineer, Applications Engineer and Product Manager.

Comments

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.