Error: COMP96_0078: Unknown identifier "identifier_name"

Solution

If you are receiving the above error, you may need to download the latest libraries for your current version of Active-HDL. Please visit our website www.aldec.com to download the libraries. If you are still receiving this error, please contact our support team through the Support Portal.

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.