Error: COMP96_0078: Unknown identifier "identifier_name"

Solution

If you are receiving the above error, you may need to download the latest libraries for your current version of Active-HDL. Please visit our website www.aldec.com to download the libraries. If you are still receiving this error, please contact our support team through the Support Portal.



Printed version of site: www.aldec.com/en/support/resources/documentation/faq/1736