共有変数はプロテクトデータタイプではありません

概要

次のワーニングは何を意味していて、どのように対応したらよいですか?

# Warning: COMP96_0564: test_interface.vhd : (87, 8): Shared variable is not of a protected type. (IEEE Std 1076-2002, 4.3.1.3)

対応方法

VHDL 2002 standard ではデフォルトでプロテクトデータタイプが使用されています。単純な共有変数を含む以前の基準に準拠するVHDLコードには、上記ワーニングが発生します。下記のいづれかの方法で対応してください。

  1. -93 オプションを使ってコンパイルを実行します。GUI では、Tools | Preferences | Compilation | VHDL compilerを選択し、Standard version を1076-1993に変更します。スクリプトでは acom -93 を使用します。

  2. 変数をプロテクトデータタイプに変更します。

  3. 本ワーニングは VHDL 2002 に準拠していないことを意味していますが、無視しても問題ありません。

共有変数の詳細は、Help | Product Help | 目次 | Reference Guides | VHDL language reference guide | VHDL 2002 | Shared variables を参照してください。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.