タイミングシミュレーション実行時に、SDFアノテーションレポートを確認する方法

対応方法

SDFファイルはインプリメンテーションツールにより生成され、遅延データとタイミングチェック情報を含んでいます。Active-HDLはSDFの最新バージョンをサポートしています。

VHDLデザインでは、シミュレーションイニシャライズ時に、asimコマンドに適切な引数を渡すことにより、SDFファイルのデータを読み込むことが可能です。Design Settings ダイアログボックスを使って、SDFファイルを指定することができます。

Verilogデザインでは一般的に、Verilogコードに記述された$sdf_annotate タスクによりSDFデータを読み込みます。asimコマンドでSDF ファイルを指定することでも、asimコマンドを実行できます。

Active-HDLは、runコマンドでシミュレーションを実行する時ではなく、シミュレーションイニシャライズ時にSDFデータを読み込みます。

SDFエラー制限は全SDFエラーがコンソールウィンドウに通知されるよう指定します。指定しない場合には、最初の100個のエラーだけが表示され、検出されたエラー数が通知されます。

詳細情報は Help | Product Help にて、SDF Annotationで検索してください。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.