タイミングシミュレーション実行時に、SDFアノテーションレポートを確認する方法

対応方法

SDFファイルはインプリメンテーションツールにより生成され、遅延データとタイミングチェック情報を含んでいます。Active-HDLはSDFの最新バージョンをサポートしています。

VHDLデザインでは、シミュレーションイニシャライズ時に、asimコマンドに適切な引数を渡すことにより、SDFファイルのデータを読み込むことが可能です。Design Settings ダイアログボックスを使って、SDFファイルを指定することができます。

Verilogデザインでは一般的に、Verilogコードに記述された$sdf_annotate タスクによりSDFデータを読み込みます。asimコマンドでSDF ファイルを指定することでも、asimコマンドを実行できます。

Active-HDLは、runコマンドでシミュレーションを実行する時ではなく、シミュレーションイニシャライズ時にSDFデータを読み込みます。

SDFエラー制限は全SDFエラーがコンソールウィンドウに通知されるよう指定します。指定しない場合には、最初の100個のエラーだけが表示され、検出されたエラー数が通知されます。

詳細情報は Help | Product Help にて、SDF Annotationで検索してください。



Printed version of site: www.aldec.com/jp/support/resources/documentation/faq/1077