Compiling altera_primitives.v (Quartus 11.1) in Riviera-PRO and Active-HDL

Active-HDL (prior to 9.1) and Riviera-PRO (prior to 2011.10)

When compiling Altera libraries in Quartus 11.1, specifically the altera_primitives.v file, in Aldec’s Riviera-PRO 2011.06 (or earlier) or Active-HDL 8.3 (or earlier), the following compilation failure will occur:

# ALOG: Error: VCP5018 altera_primitives.v : (34, 16): Macro begin_keywords is not defined.
# ALOG: Error: VCP2000 altera_primitives.v : (34, 28): Syntax error. Unexpected token: "1364-1995"[_STRING]. 
Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... .

Solution

If you get the above compilation error, add the following +define macro definition to your alog command:

alog +define+SKIP_KEYWORDS_PRAGMA  –work <target_library_name>  <path>/altera_primitives.v

If you compile using GUI menus, then you have to set +define+SKIP_KEYWORDS_PRAGMA in the Additional Options box of Compilation | VHDL settings.

Active-HDL 9.1 and Riviera-PRO 2011.10

Starting with Active-HDL 9.1 and Riviera-PRO 2011.10 altera_primitives.v file compiles as is without additional options necessary.

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.