Compiling altera_primitives.v (Quartus 11.1) in Riviera-PRO and Active-HDL

Active-HDL (prior to 9.1) and Riviera-PRO (prior to 2011.10)

When compiling Altera libraries in Quartus 11.1, specifically the altera_primitives.v file, in Aldec’s Riviera-PRO 2011.06 (or earlier) or Active-HDL 8.3 (or earlier), the following compilation failure will occur:

# ALOG: Error: VCP5018 altera_primitives.v : (34, 16): Macro begin_keywords is not defined.
# ALOG: Error: VCP2000 altera_primitives.v : (34, 28): Syntax error. Unexpected token: "1364-1995"[_STRING]. 
Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... .

Solution

If you get the above compilation error, add the following +define macro definition to your alog command:

alog +define+SKIP_KEYWORDS_PRAGMA  –work <target_library_name>  <path>/altera_primitives.v

If you compile using GUI menus, then you have to set +define+SKIP_KEYWORDS_PRAGMA in the Additional Options box of Compilation | VHDL settings.

Active-HDL 9.1 and Riviera-PRO 2011.10

Starting with Active-HDL 9.1 and Riviera-PRO 2011.10 altera_primitives.v file compiles as is without additional options necessary.



Printed version of site: www.aldec.com/en/support/resources/documentation/faq/1016