Active-HDLでのAXIベースデザインのシミュレーション方法

概要

AXI4 インタフェースを使用するXilinx IPの全リストが、CORE GeneratorのIP catalog から直接利用可能です。AXI BFM はRTLカスタムデザインフローにて
AXIマスタとAXIスレーブの接続性と基本機能の検証に使用されます。AXI BFM は ISE Design Suite インストールパッケージに含まれており、
/ise_ds/ise/secureip/aldec/axi_bfm_aldec にあります。

必要事項

本アプリケーションノートは次の内容が用意されていることを前提としています。

  1. AXI (AXI BFM simulation model) ライセンスを有していること。
    ISE を起動して Help | Manage License にて、Feature 欄に Xilinx_AXI_BFM が表示されることを確認してください。
    AXIライセンスはXilinxから単独入手可能です。AXIライセンスを所有していない場合には、Xilinxに連絡してください。

  2. Active-HDL がインストールしてあること。

  3. Active-HDL Verilogシミュレーションライセンスを所有していること。
    AXI BFM シミュレーションモデルには Verilog記述が含まれるため、お使いのActive-HDL PE/EE ライセンスがVHDL のみの場合には、
    Verilogシミュレーションライセンスが必要になります。
  4. Xilinx ISE がインストールされており、Xilinxのライセンスを所有していること。

  5. PATH 変数に、libSecurity.dll までのパスが設定されていること。
    例. /Xilinx/14.5/ISE_DS/ISE/lib/nt
  6. 必要な全てのAXI BFM と適切な他のIPを含むデザインが完成していること。

  7. 必要なXilinx シミュレーションライブラリがActive-HDLにインストールされていること。

    - Xilinx シミュレーションライブラリのコンパイルが必要な場合には下記を参照:
    http://www.aldec.com/en/support/resources/documentation/articles/1310

    - 最新のコンパイル済みライブラリはアルデックのウェブからダウンロード可能:
    http://www.aldec.com/en/downloads

注意: Xilinx platform studioを使ってAXIベースのシステムを作成する方法は下記を参照
http://www.aldec.com/en/support/resources/documentation/articles/1269

Xilinx CORE Generatorで利用可能なAXI BFMサンプルの使い方は下記を参照
http://www.aldec.com/en/support/resources/documentation/articles/1270

AXI BFM シミュレーションの実行

Active-HDLの起動

  1. AXI BFM ライセンスが設定されていることを確認してください。
    (例. LM_LICENSE_FILE 環境変数が AXI BFM ライセンスが利用可能なライセンスサーバを指していること)

  2. 必要な全てのXilinx 環境変数が設定されていることを容易に確認するために、Windowsスタートメニューから ISE Design Suite Command Prompt を
    呼び出してそこからActive-HDLを直接起動します。Active-HDL ユーザは32 bit command promptを選択してください。

    図 1. Xilinx command prompt の起動

  3. Active-HDL GUI を起動するには、Xilinx 32 bit command prompt にて avhdl.exe とタイプします。

    図 2. Active-HDL の起動

  4. Active-HDL が立ち上がったら新しいワークスペースとデザインを作成し、必要な全てのソースファイルとスクリプトをデザインに付加します。

デザインのコンパイルとシミュレーション

  1. ソースファイルのコンパイルを実行します。GUIメニュー、作成したスクリプトファイルまたはXilinxから生成された*.do スクリプトを使ってコンパイルを
    実行します。図 3を参照してください。

    図 3. コンパイルとシミュレーションのスクリプト実行

  2. デザインのコンパイルが終了すると、イニシャライズシミュレーションを実行します。GUIメニューやスクリプトまたはコンソールのvsimコマンドから
    実行します。どの方法で実行しても、secureip ライブラリとAXI BFM シミュレーションモデルのライセンスチェック時に使われるXilinx PLI ライブラリ
    (libxil_riviera.dll)が必要になります。

    イニシャライズシミュレーションのコマンド例は次の通りです。

    vsim +access +r –L secureip -lib work top_level -pli libxil_riviera.dll
    

    注意: 上記 PLI ライブラリは Xilinx ISE インストールパッケージに含まれており、/ISE_DES/ISE/lib/nt/libxil_riviera にあります。
    上記ライブラリがない場合には、下記のXilinx ドキュメントを参照してください。
    http://www.xilinx.com/support/answers/50155.htm

  3. イニシャライズシミュレーションに続いて、run –all コマンドまたはツールバーのRunボタンをクリックしてシミュレーションを実行します。

    図 4. AXI BFM ライセンス通知

    デザインに AXI BFM が含まれ、そのライセンスが利用可能な場合には、 コンソールウィンドウに図4に示すようなメッセージが通知されます。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.