Universal Verification Methodology (UVM)

Category : 標準サポート

UVM Logo

Universal Verification Methodology (UVM) はオープンソースのSystemVerilogライブラリで、柔軟で再利用可能な検証コンポーネントの作成と、制約付きランダムスティミュラス生成およびファンクショナルカバレッジ手法を利用した強力なテスト環境の構築を可能にします。UVMは、成功したOVMとVMMの手法に基づき、設計者とツールベンダが共同で開発したものです。UVMの主な目的は、テストベンチの再利用を改善し、検証コードの移植性を高め、普遍的で高品質な検証用IP(Intellectual Property)の新たな市場を創出することです。

Verification Libraries (UVM and OVM)

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.