White Papers

-OR-
Reset

Results

Name Products Type Action
HDL Simulation Acceleration Solution for Microchip FPGA Designs   
Mission-critical FPGA designs for space and radar applications continue to increase in complexity, such that they require a comprehensive and robust verification environment. There are hardware-in-the-loop solutions in the market that utilize FPGA boards, but when it comes to establishing functional coverage and debugging the custom logic, users would typically need to go back to HDL simulation. As a result, HDL simulations are becoming excessive and they have become the primary bottleneck when it comes to verification. In this paper we will describe a solution that can accelerate HDL simulation for the system FPGA design that includes the custom logic and reused IP Cores where the testbench executes in the simulator and the synthesizable parts of the design is implemented in a Microchip FPGA board.
Riviera-PRO, HES-DVM, HES™ Boards White Papers
HDL Simulation And Mathematical Modeling Integration   
Abstract: This paper presents a new approach in domain of high level digital circuits simulation and modeling that benefits from high level mathematical environment delivered by MATLAB. It allows to integrate design process and directly verify obtained results with mathematical formulas or complex operations that are not available in standard HDL languages. A part of HDL code can be placed for verification purposes inside the advanced mathematical model or can execute complex calculation. Paper presents problems that are introduced by hybrid simulation and modeling environment concerning data representation, simulation process and optimal performance.
Active-HDL White Papers
Interoperable IP Delivery   
Abstract: This paper describes the theoretical background, current status and future challenges facing interoperable cryptosystem for safe delivery of Intellectual Property (IP) to be used in VHDL and SystemVerilog design and verification. The system must be reliable, and interoperable, i.e. enable safe use of IP source in a variety of tools. IEEE P1735 Working Group currently develops proposed standard describing such a cryptosystem.
Active-HDL White Papers
Introducing Transactions In Design Verification   
Abstract: Modern ASIC and FPGA designs can usually be treated as complete systems, not just electronic circuits. Design and verification of those systems typically requires the use of transaction-level descriptions, so enhanced support for transactions in verification tools is critical. This paper describes basic transaction related terms and the new transaction recording and visualization solution available in Riviera-PRO™ simulator.
Riviera-PRO White Papers
Introduction to DO-254   
If you are new to DO-254, this white paper can serve as your starting point as you educate yourself with the guidance and regulation. This white paper provides an overview of RTCA/DO-254 purpose, scope and processes, and as well as description of Aldec’s specialized tools for DO-254 targeting DAL A and B PLDs.
Active-HDL, ALINT, Spec-TRACER, DO-254/CTS White Papers
Making Floating-Point Arithmetic Work in Your RTL Design   
Floating-point arithmetic becomes a widely used format in digital system design. For example, DSP applications often demand high precision while operating with large dynamic ranges. The IEEE 754™-2008 floating-point arithmetic standard fulfills this criterion but it might be extremely hard to comprehend and use. This document discusses challenges associated with debugging floating-point arithmetic designs and explains how to tackle them using the tools available with your floating-point aware IDE.
Riviera-PRO White Papers
Managing Validation and Verification Activities for DO-254   
This paper provides an overview of the Validation and Verification (V & V) process and its associated activities as described in RTCA/DO-254. With the growing size and complexity of today’s FPGAs, managing V & V activities is becoming difficult and time-consuming. This paper presents a list of recommended features, methodologies and capabilities that must be supported by a tool to manage V & V activities more efficiently.
Spec-TRACER, DO-254/CTS White Papers
Meeting Growing Verification Demands   
Abstract: The first decade of the 21st century brought tremendous growth of the size of typical digital design, triggering growing demands for faster, safer and more thorough verification. In response to those demands, many new flavors of verification were invented and implemented in the tools, making engineers face difficult choices. This paper gives detailed overview of currently available verification methodologies suitable for large designs and shows how Aldec tools can help in their implementation.
Riviera-PRO, HES-DVM White Papers
Partitioning Challenges in Multi-FPGA Prototyping   
Multi-FPGA prototyping of ASIC & SoC designs enables the highest clock rates among emulation techniques. However, design setup for prototyping is much more complicated and challenging. In this White Paper we uncover the common challenges of partitioning design to multiple FPGAs and provide solutions that will improve your prototype quality and shorten time spent on design setup.
HES-DVM, HES™ Boards, HES-DVM Proto Cloud Edition White Papers
Q & A with FAA DO-254 DER Randall Fulton   
Aldec together with FAA DER Randall Fulton conducted a webinar to provide clarifications on some of the most commonly misunderstood objectives and aspects of DO-254. The following is the list of questions that were submitted to Aldec for the webinar. All questions are related to applying DO-254 to FPGAs and PLDs. The answers from Randall Fulton are provided correspondingly.
Spec-TRACER, DO-254/CTS White Papers
Randomization and Functional Coverage in VHDL   
Abstract: Modern digital designs reach the scale of complete systems and require support of Constrained Random Test and Functional Coverage in verification. Although VHDL does not have built-in, direct support for those methodologies, there are neat solutions that allow their quick implementation in your testbench.
Active-HDL, Riviera-PRO White Papers
Resets and Reset Domain Crossings in ASIC and FPGA designs   
This white paper explains Reset-related ASIC and FPGA design issues as well as outlines commonly-used design techniques leading to safe reset implementations. It goes on to explain about Reset Domain Crossing effects and methods to mitigate their influence on design. LINT tools provide valuable help for designers in Resets and Reset Domain Crossings verification.
ALINT-PRO White Papers
SCE-MI obviously. But which one?   
About three SCE-MI 2 use models: macro-, function- and pipes-based. Differences, use cases and recommendations.
HES-DVM White Papers
Scaling an IoT network with Aldec Gateway and Amazon AWS Cloud   
This whitepaper describes how to use Aldec TySOM board as an IoT gateway and build the scalable network with the usage of Amazon AWS Cloud service.
TySOM™ EDK White Papers
Simulation Acceleration with HES XCELL   
Abstract: Comprehensive verification that can be provided by HDL simulators is good, but not ideal. What is necessary is a faster, safer and more thorough verification environment that combines the robustness of an HDL simulator with the speed of FPGA prototyping boards. The goal is to put together the power of these two verification methodologies into one platform.
HES-DVM White Papers
SoC verification made easy with Aldec HES-DVM   
As designs grow larger, the time spent verifying a project is growing longer as well. As a solution, some companies are trying to ‘shift-left’ their schedules. Verification via software simulators is not fast enough for large System-on-Chip (SoC) design projects, therefore one option is to use an FPGA emulator to speed up the design process. But what happens when a bug occurs? This document describes Aldec® HES-DVM™ features that can help speed up debug and verification of the SoC, in order to achieve faster time-to-market.
HES-DVM White Papers
Superior Approach to DO-254 Hardware Verification   
Abstract: This White Paper points out the most significant issues which can be encountered during DO-254 compliant verification process of FPGA designs. It proposes the methods of saving development time during the functional verification process by reusing the work done during RTL simulation for in-hardware at-speed testing in target FPGA devices, which assures a high visibility of results and good traceability of requirements.
DO-254/CTS White Papers
Synthesis of energy-efficient FSMs implemented in PLD circuits   
Abstract: The paper presents an outline of a simple synthesis method of energy-efficient FSMs. The idea consists in using local clock gating to selectively block the clock signal, if no transition of a state of a memory element is required. The research was dedicated to logic circuits using Programmable Logic Devices as the implementation platform, but the conclusions can be applied to any synchronous circuit. The experimental section reports a comparison of three methods of implementing sequential circuits in PLDs with respect to clock distribution: the classical fully synchronous structure, the structure exploiting the Enable Clock inputs of memory elements, and the structure using clock gating. The results show that the approach based on clock gating is the most efficient one, and it leads to significant reduction of dynamic power consumed by the FSM.
Riviera-PRO White Papers
System Level Design - SystemC Using Transaction Level Modeling   
Abstract: Growing customer requirements and technological abilities increase the design complexity of hardware and software. Time to market is shortening as well as the lifetime of new designs. In order to be able to meet all those requirements a new approach to the design process is required.
Active-HDL White Papers
Those Pesky Interfaces…   
SystemVerilog interfaces offer some very interesting features for both hardware designers and verification engineers. Unfortunately, they are also one of the most misunderstood SV constructs. This document tries to explain interfaces, paying special attention to the virtual interface concept used in popular UVM library.
Riviera-PRO White Papers
49 results (page 2/3)
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.