Aldec Delivers Complete Support for UVM 1.1, Enabling VMM and OVM Interoperability

Date: 2011/11/14
Type: Release

Henderson, NV – November 14, 2011  Aldec, Inc. today released Riviera-PRO™ 2011.10 with complete support for the UVM (Universal Verification Methodology), Version 1.1.  The latest release enhances the SystemVerilog verification methodology by providing extended language construct support and adding debugging and productivity features in the waveform.  The new language construct enhancements, based on an industry accepted IEEE 1800™-2009 standard, enable customers to do extensive debugging and provide a path to support for UVM together with previous OVM (Open Verification Methodology) and alternative VMM (Verification Methodology Manual) methodologies.

 

“There are two major open source verification methodologies today, UVM/OVM and VMM – complete standalone solutions that approach the structure of verification environment in different ways,” said Dmitry Melnik, Riviera-PRO Product Manager. “Over the last few years end-users were choosing one of the methodologies to create their verification environments. As a result, a significant amount of legacy code and existing VIP (Verification Intellectual Property) are turning into the challenges of migrating to the alternative library or integrating with VIP from the other methodology.”

 

With the release of Riviera-PRO 2011.10, Aldec supports the latest version of UVM and related extensions:

  • OVM/VMM Interoperability Kit, enabling OVM- and VMM-based IP to work together in a single verification environment. The kit contains OVM/VMM interoperability library – a collection of adapters and utilities that enables efficient reuse without the need to modify the legacy code.
  • UVM Register Kit, enabling easy migration path from OVM to UVM-based verification environment. The kit contains a part of the Accellera UVM reference library – a standard vendor independent register solution that enables migration to UVM without changing the use model.

 

UVM 1.1 is immediately available with Riviera-PRO 2011.10 installation today.

For additional information about Riviera-PRO 2011.10 including tutorials, downloads, and a “What’s New” presentation, visit www.aldec.com/products/riviera-pro.

 

About Aldec
Aldec Inc., headquartered in Henderson, Nevada, is an industry leader in Electronic Design Verification and offers a patented technology suite including: RTL Design, RTL Simulators, Hardware-Assisted Verification, Design Rule Checking, IP Cores, DO-254 Functional Verification and Military/Aerospace solutions. More information about the company and its products is available at www.aldec.com.

 


Aldec is a registered trademark of Aldec, Inc. All other trademarks or registered trademarks are property of their respective owners.

Media Contact: Christina Toole, Aldec, Inc.
+1.702.990.4400
christinat@aldec.com
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.