VLM ERROR VLM_0037: "Package "ieee.numeric_std_unsigned" is not accessible in -93/-2002 mode."

Solution

The numeric_std_unsigned package was added in the VHDL 2008 standard. In order to use functions from this package, your code should comply with the VHDL 2008 syntax, and should be compiledw ith the -2008 switch.

To change the standard in the GUI

  • Right-click the design in the Design Manager

  • Select Properties... from the drop-down menu

  • Go to Compilation | VHDL | General

  • In the Standard version drop-down menu, select 1076-2008

  • Click Apply and <OK>

To change the standard in a script

Please use the -2008 switch with the acom/vcom command. For example:

acom -2008 -dbg -lib work myfile.vhd
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.