ERROR VCP2000 "Syntax error. Unexpected token: library[_IDENTIFIER]. Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... ."

Description

When I run a script in Riviera-PRO, I receive the above error. What does it mean and how can I fix it?

Solution

This is a generic message about a syntax error. In the code below, a semicolon (;) is missing after the name of the module. This triggers the VCP2000 message:

module m //VCP2000
endmodule

You can also receive the above error by using the alog/vlog commands when compiling VHDL files. Within the script that you are running in Riviera-PRO, please pay special attention to what command you use when compiling your design files. Verilog/SystemVerilog files need to be compiled using the alog/vlog command, and VHDL files need to be compiled using the acom/vcom command.

If you are still having issues, please create a ticket in our Support Portal.

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.