How to create a new VHDL library?

Solution

There are several ways to do it. Here are some of them:

  1. If you already have a design, right-click the design name in the Design Browser and select Create Library from the drop-down menu. Follow the instructions.

  2. Create new design (or open an existing design). Open Library Manager (select View | Library Manager from the main menu). Select Library | Create Library from the main menu. Follow the instructions.

  3. Use alib command. Please refer to Active-HDL Help (open Index tab, type alib) for details.

    From command console: help alib

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.