How do I stop the following warnings? #KERNEL: WARNING: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)

Solution

To disable the above warning, there are two options:

Script

Add the -ieee_nowarn switch to the asim command

asim -ieee_nowarn

GUI

  1. From the main menu, go to Tools | Preferences

  2. Select Simulation | VHDL | General

  3. Check the Disable IEEE warnings generation checkbox

  4. Re-run the simulation

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.