Value Change Dump (VCD and Extended VCD) Support

Category : Simulation/Verification

The VCD (Value Change Dump) file format is specified in the IEEE Std. 1364-1995 standard. The VCD file is an ASCII file containing header information, variable definitions, and variable value changes. The VCD files store information about value changes during simulation for nets and registers. You can export waveforms containing VHDL signals to a VCD file. Aldec users who target their designs to Xilinx devices can export VCD waveforms compatible with Xilinx XPower (Verilog Value Change Dump - Xilinx XPower support).

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.