Aldec and Agilent Technologies Bridge the Gap Between ESL and RTL by Linking Simulation Environments

Date: Jul 10, 2012
Type: Release

HENDERSON, Nevada - July 10, 2012 - Aldec, Inc., a pioneer in mixed HDL language simulation and hardware-assisted verification solutions, worked with Agilent Technologies to deliver a new co-simulation interface between the latest version of Riviera-PRO™, Aldec’s popular design simulation and verification platform used by FPGA, ASIC, and SoC development teams, and SystemVue, Agilent’s ESL design and signal processing environment used by system architects and algorithm developers in physical layer designs of wireless, RF and DSP applications. The new solution enables users to efficiently integrate algorithm and system-level designs with hardware implementations.

 

“Agilent system-level design products are now integrated into the hardware design flow, which enables system engineers to troubleshoot Verilog and VHDL hardware implementations, while still maintaining a higher-level view of physical layer (PHY) system performance,” said Daren McClearnon, Agilent’s SystemVue Product Marketing Manager.  “Our respective R&D teams worked closely together to create a high-performance yet cost-efficient co-simulation interface that unites baseband, RF, simulations, and measurements in single, system-level cockpit.” 

 

The new co-simulation interface requires only one instance of Riviera-PRO (regardless of the number of HDL blocks on a SystemVue diagram), supports a range of data types, and provides extensive cross-domain debugging capabilities.  This tight, bi-directional integration reduces development time and effort by enabling continuous test and system-level verification throughout the development process.

 

 “The Agilent SystemVue co-simulation interface brings several exciting new features to hardware design verification engineers, our traditional customers,” said Dmitry Melnik, Riviera-PRO Product Manager. “It enables the link to a powerful RF System simulator, RF EDA tools and models, trusted references for emerging communications standards, and even test and measurement equipment if necessary. Now engineers can re-use SystemVue components in hardware simulations while respective HDL blocks are being coded, or use SystemVue as a testbench to verify HDL implementation.”

 

Availability

To access the interface, valid licenses for the latest versions of both Riviera-PRO and SystemVue are required; current license holders are encouraged to upgrade.

 

About Aldec

Aldec Inc., headquartered in Henderson, Nevada, is an industry leader in Electronic Design Verification and offers a patented technology suite including: RTL Design, RTL Simulators, Hardware-Assisted Verification, Design Rule Checking, IP Cores, DO-254 Functional Verification and Military/Aerospace solutions. www.aldec.com.


Active-HDL is a trademark of Aldec, Inc. All other trademarks or registered trademarks are property of their respective owners.

Media Contact: Christina Toole,
Aldec, Inc.
+1.702.990.4400
christinat@aldec.com
www.aldec.com
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.