A Proven EDA Solutions Provider makes all the difference.

アルデックは、最新の言語標準をサポートする先進の検証手法を提供して、
お客様が、進化を続ける技術を活用しながら発展することをお約束します。

FPGA デザイン

FPGAベンダーサポートシミュレーションおよびデバッグプロジェクト・マネンジメント/統合環境グラフィカル/テキスト・デザインエントリHTML/PDF ドキュメンテーション

プロトタイピング

スケーラブルなHES™プロトタイピングプラットフォームHES Proto-AXIインターコネクトマルチFPGAデザインのパーティショニングARM CortexのサポートRTAX/RTSXプロトタイピング

ファンクショナルベリフィケーション

メトリクスドリブン検証Universal Verification Methodology (UVM)Open Source VHDL Verification Methodology (OSVVM)Universal VHDL Verification Methodology (UVVM)Cocotbリンティング/デザイン解析非同期クロックドメイン検証QEMUとRiviera-PROによるコ・シミュレーション

組込システム

Zynq US+ FPGAを使用したディープラーニングFPGAベースのNVMe データストレージモノのインターネット (IoT)自動車ADASネットワーキングAldec 4K UltraHD イメージングソリューションPythonとPYNQCOVID-19の検出

ハードウェア・エミュレーション・ソリューション

協調エミュレーションUVMシミュレーション・アクセラレーションアクセラレーションのスケーラビリティ検証用IPSoCのパーティショニングエミュレーション・デバッギング

ハイパフォーマンス・コンピューティング(HPC)

コンピュータビジョン暗号化・セキュリティゲノムアライメント高頻度トレーディング大規模HPC組込みHPC

DO-254 コンプライアンス

トレーサビリティHDL コーディング基準ツール・アセスメントおよびクオリフィケーションのプロセスFPGAレベルのインターゲット・テストHDL 詳細設計および検証DO-254テンプレートおよびチェックリスト

特殊アプリケーション

レグレッション・マネージャ暗号化DSPとRFの協調シミュレーション
Versal ACAPのような複雑なSoC FPGAのシミュレーションには何が必要ですか? 2月 08 Aldec @ DAC 2023:FPGAおよびSoC向け設計検証ツールとソリューションを紹介 6月 26 Riviera-PROがAMD® Versal™ ACAPデザインのシステムシミュレーションをサポート 6月 14 航空電子機器業界で高まる TLM のニーズ 5月 18 アルデックとタレスがCertification Together International Conference 2023で共同発表 5月 01 すべてのニュースを表示
Turbocharge your FPGA Simulation Workflows:

Part 1 - High-Performance RTL Simulation Workflow with Vivado and Active-HDL (EU)
3月 21 (ウェブセミナー, Online)
Turbocharge your FPGA Simulation Workflows:

Part 1 - High-Performance RTL Simulation Workflow with Vivado and Active-HDL (US)
3月 21 (ウェブセミナー, Online)
UVMに挑戦してみよう! 3月 27 (ウェブセミナー, Tokyo, Japan ) Turbocharge your FPGA Simulation Workflows:

Part 2 - High-Performance RTL Simulation Workflow with Quartus and Active-HDL (US)
3月 28 (ウェブセミナー, Online)
Turbocharge your FPGA Simulation Workflows:

Part 2 - High-Performance RTL Simulation Workflow with Quartus and Active-HDL (EU)
3月 28 (ウェブセミナー, Online)
すべてのイベントを表示
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.