Partners

Sigasi


Partner type: Unite Partner
Description: Sigasi offers an integrated development environment (IDE) for the VHDL language (Sigas i HDT). In the near future, Sigasi plans to start implementing Verilog support. The differentiating benefit of Sigasi is its interactive code editor: the HDL code is compiled while the engineer types it.
This results in immediate feedback and powerful features for code navigation and manipulation:

Code comprehension
Navigate to any VHDL object in less than a second.
Mouse-­over hover shows extra information for any object.

Design entry
Get feedback about any errors as you type.
Use Sigasi for completing VHDL constructs. The code is generated based on the tool’s knowledge of the design. (This is much more powerful than template-based systems).

Code reuse
Use the tool to rename ports and other objects, across multiple files. Find out where a certain data type or signal is used.


Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.