アルデック、UVMサポートを拡張し新しいデバッグ機能を搭載したRiviera-PROの最新バージョンをリリース

Date: 2016/03/09Type: Release

Henderson, NV – 2016年3月9日 – Aldec, Inc. (以下「アルデック」)は、先進的検証プラットフォーム Riviera-PRO™ の最新バージョン 2016.02 のリリースを発表しました。Riviera-PROは複雑なシステム・オンチップ(SoC)、ASICおよびFPGAデザイン向けの統合的機能検証ソリューションです。 この最新リリースでは、Universal Verification Methodology(UVM)のサポートが拡充され、シミュレーションと検証のパフォーマンスも大きく改善され、さらに新しいデバッグ機能が加わり、検証効率を向上させました。

 

最近、デザインの複雑さに対応するため、UVMの採用が急速に進んでいます。UVMを採用することでシミュレーションに最先端の検証手法を導入できるようになりますが、同時に性能のボトルネックも生まれます。

 

アルデックのRiviera-PROプロダクトマネージャの Satyam Jani は次のように語ります。「複雑なデザインの検証をシミュレーション中心の方法で行う場合、そのために必要なテストベクタを書かなければならないという大きな問題があります。SystemVerilogのランダム制約はその対策として有効ではありますが、その場合シミュレーションの性能がより一層の足枷になります。アルデックはこうした先端の検証手法のためにシミュレーションの性能向上に真剣に取り組んでいます。今回の Riviera-PRO 2016.02 のリリースでは、除算演算子(/)を含む式や一次方程式を含むランダム制約の性能が大幅に改善されました。」

 

Riviera-PRO 2016.02 では、正式に Windows® 10 がサポートされた他、新規デバッギングツールである Design Units Window が加わりました。この機能は複数のシミュレーション・データセットからデザインユニットを簡単に一覧表にすることができます。また、Design Unit Window は実行中のシミュレーションのカバレッジ結果からユニットごとのカバレッジ・データを抽出して表示します。

 

その他、Riviera-PRO 2016.02 には多数の新機能、機能拡張および性能改善が盛り込まれています。より詳しい情報やチュートリアル、無料評価版のダウンロード、新機能プレゼンテーションなどについては、http://www.aldec.com/Products/Riviera-PRO をご覧ください。

 

アルデックについて

アルデックは米国ネバダ州ヘンダーソンに本社を置くエレクトロニクス・デザイン検証のインダストリ・リーダです。RTL設計、RTLシミュレータ、ハードウエア・アシステッド・ベリフィケーション、SoCおよびASICプロトタイピング、デザインルールチェック、IPコア、要求ライフサイクル管理、DO-254機能検証および軍事/航空宇宙向けソリューションといったパテントを取得したテクノロジを提供しています。 www.aldec.com

 


AldecはAldec, Inc.の登録商標です。その他の商標および登録商標は各所有者の財産です。

Media Contact: アルデック・ジャパン株式会社
宮島 健
03-5312-1791
sales-jp@aldec.com
www.aldec.com



Printed version of site: www.aldec.com/jp/company/news/2016-03-09/292