COMP96_0078エラー: 未知の識別子

Error: COMP96_0078: Unknown identifier "identifier_name"

対応方法

上記エラーが通知された場合、現在お使いのActive-HDLのバージョンに対応した最新のライブラリをダウンロードする必要があります。アルデックのウェブサイト www.aldec.com からダウンロードしてください。対応後もエラーが通知される場合は アルデックのサポート部門に連絡してください。



Printed version of site: www.aldec.com/jp/support/resources/documentation/faq/1736