ERROR VCP2000 "Syntax error. Unexpected token: library[_IDENTIFIER]. Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... ."

内容

Riviera-PRO でスクリプトを実行した場合、上記エラーが発生します。このエラーは何ですが、また解決方法はありますか?

ソリューション

これは、シンタックスエラーについての一般的なメッセージです以下のコードではセミコロン;)がモジュール名の最後で記述されていませんこれはVCP2000メッセージをトリガにしています:

module m //VCP2000
endmodule

また、VHDLファイルをコンパイルする際、alog/vlog コマンドを使用しでも上記エラーが発生します。Riviera-PRO で使用するスクリプト内で、デザインファイルをコンパイルするときに使用するコマンドに対して注意してください。Verilog/ SystemVerilogのファイルはalog/vlog コマンドを使用しVHDLファイルは、acom/vcom コマンドを使用してコンパイルする必要があります。

この問題が解決しない場合、サポートポータル.を使用してサポートチームに問い合わせを行ってください。



Printed version of site: www.aldec.com/jp/support/resources/documentation/faq/1628