FPGA/CPLD チップのポート割り当て

概要

開発ボードのポートに入出力端子を簡単に割り当てるにはどうしたらよいですか? *.ucf ファイルを作成し、インプリメンテーションオプションで本ファイルを選択するのが、唯一の方法ですか?

対応方法

一般的には、ucf 制約ファイルによりピンリストが提供されます。マッピング処理後に FPGAエディタを起動してピンを定義することができます。

ピンを割り当てる別の方法は、VHDL/VERILOG 属性として制約を与えることです。ブロック図 bde上でポートシンボル(端子)を選択し、コンテキストメニューから Properties | Attributes を選択し属性を設定することができます。



Printed version of site: www.aldec.com/jp/support/resources/documentation/faq/1134