VHDL の連結演算子

詳細

VHDLファイルをコンパイルする際に、以下のエラーメッセージが出力されました。何を解決したらよいのでしょうか?

Error: COMP96_0547: .vhd : Choice in selected signal assignments and case statements must be locally static. Use -relax to allow nonstatic expressions and discrete ranges.

解決法

コンパイルしようとしているVHDLの連結演算子の使用は、IEEEの委員会によってVHDL 2006および2008の標準として実装されています。

コンパイルの際にはVHDL 2006または2008を使用します。Design | Settings | Compilation | VHDL で設定するか、スクリプトでは acom -2008 を追加します。

以前のVHDLバージョンを使用する場合は、Design | Settings | Compilation | VHDL | Relax LRM requirements を有効にするか、acom コマンドに -relax を使用してください。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.