Riviera-PRO とActive-HDL でaltera_primitives.v (Quartus 11.1) をコンパイル

Active-HDL (9.1 より前) とRiviera-PRO (2011.10 より前)

Quartus11.1でアルテラのライブラリをコンパイルする場合、Aldecの Riviera-PRO 2011.06 以前、またはActive-HDL 8.3 以前でaltera_primitives.v ファイルで以下のコンパイルエラーが発生します:

# ALOG: Error: VCP5018 altera_primitives.v : (34, 16): Macro begin_keywords is not defined.
# ALOG: Error: VCP2000 altera_primitives.v : (34, 28): Syntax error. Unexpected token: "1364-1995"[_STRING]. 
Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... .

ソリューション

上記コンパイルエラーが発生した場合、お使いのalog コマンドに次+define マクロ定義を追加します:

alog +define+SKIP_KEYWORDS_PRAGMA –work <target_library_name> <path>/altera_primitives.v

GUI メニューのコンパイルを使用する場合、Compilation | VHDL settings のAdditional Options ボックスで+define+SKIP_KEYWORDS_PRAGMA を設定する必要があります。

Active-HDL 9.1 と Riviera-PRO 2011.10

Active-HDL 9.1と Riviera-PRO 2011.10は、追加オプションの必要なくaltera_primitives.vファイルのコンパイルを実行を開始します。



Printed version of site: www.aldec.com/jp/support/resources/documentation/faq/1016