アルデック、ALINT-PRO-CDCバージョン2015.08をリリース、先進的な違反解析機能を搭載、ダイナミック検証を強化

Date: 2015/08/10Type: Release

Henderson, NV – 2015年8月10日 – HDL混合言語シミュレーションとシステム・ASICデザインのハードウェア・アシステッド・ベリフィケーションのパイオニアである Aldec, Inc. (以下「アルデック」)は、ALINT-PRO-CDC™ 2015.08のリリースを発表しました。今回のリリースでは、総合的なハンドシェーク・シンクロナイザのサポートや、クロックやリセットが適切に生成されたかどうか、デザイン階層の論理パーティショニングなどのスタティック・チェック機能が追加されたほか、違反解析手法も強化されました。

 

アルデックのソフトウェア部門プロダクトマネージャ、Pavlo Leshtaievのコメント:「たゆまぬ改善への努力が製品開発の王道です。お客様からのフィードバックを活かし、当社の開発チームが継続して開発に取り組むことで、アルデックはツールの信頼性を高めてきました。今回のリリースではダイナミック検証とスタティック検証が強化されました。これを活かすことでCDCコードの安全性を担保しつつ、遅延をできるだけ抑え、かつコードの品質をできる限り高めることができます。」

 

ALINT-PRO-CDCでは多くの機能が改善され、使い勝手や性能も向上しました。特筆すべき改善点は次の通りです。

 

スタティック検証のルール ALDEC_CDCプラグインは、新たに10個のルールが加わりました。このルールの狙いは、クロックやリセットを生成するロジックがすべて専用のインスタンスの内部に収容されるようにすることで、設計の中でクリーンなデザイン階層を作りやすくすることです。こうすることで、デザイン制約の指定が楽になり、ランダムロジックが誤ってクロックとして使われる確率を減らすことができます。

 

スタティック違反解析 スケマティック・ビューアは、ネットリストのあらゆる要素をクロックドメインごとに個別の色でハイライトする機能が追加されるなど、使い勝手が向上しました。インクリメンタル・モードもスケマティック・ビューアでサポートされています。選択した要素だけをスケマティック上に残し、その要素に接続される要素を手動操作で追加していくことができるようになりました。

 

ダイナミック検証 イネーブル・ベースのシンクロナイザとハンドシェーク・シンクロナイザのアサーションとカバレッジが加わり、ダイナミック検証が強化されました。メタステーブルのエミュレーションがリセット・シンクロナイザ検証用に生成されます。テストベンチ生成言語としてVHDLがサポートされました(制約についてはリリースノートをご参照ください)。

 

デザイン制約のサポート 仮想クロックがサポートされ、正しく記述されたデザイン・トップレベル・インタフェースの違反がより精密になりました。

 

販売について

ALINT-PRO-CDC 2015.08 は現在提供中です。製品の詳細や無料評価版のダウンロードについては、www.aldec.com/Products/ALINT-PRO-CDC をご覧ください。

 

アルデックについて

Aldec, Inc. は米国ネバダ州ヘンダーソンに本社を置き、エレクトロニクス・デザイン検証のインダストリ・リーダです。RTL設計、RTLシミュレータ、ハードウエア・エミュレーション、ハードウエア・アクセラレーション、FPGAプロトタイピング・システム、デザインルールチェック、CDC検証、IPコア、要求ライフサイクル管理、DO-254機能検証および軍事/航空宇宙向けソリューションといったパテントを取得したテクノロジを提供しています。www.aldec.com

 


Aldecはアルデックの商標です。その他全ての商標または登録商標は各所有者に帰属します。

Media Contact: アルデック・ジャパン株式会社
宮島 健
03-5312-1791
sales-jp@aldec.com
www.aldec.com

 



Printed version of site: www.aldec.com/jp/company/news/2015-08-10/269