アルデック、ALINT-PRO-CDC™をリリース:SoC/FPGAデザインに向けてフルスペックのCDC検証手法を提供

Date: 2015/01/29Type: Release

Henderson, NV – 2015年1月29日 – HDL混合言語シミュレーションとシステム・ASICデザインのハードウェア・アシステッド・ベリフィケーションのパイオニアである Aldec, Inc. (以下「アルデック」)は、ALINT-PRO-CDC™ 2015.01のリリースを発表しました。この検証ソリューションでは、最先端の複雑なマルチクロック・デザインにおけるクロックドメイン・クロッシング検証やメタスタビリティ問題の処理が可能になりました。

 

アルデックのソフトウェア部門プロダクト・マネージャ、Pavlo Leshtaievのコメント:「メタスタビリティの問題はこれまでの機能検証フローでは簡単に見逃され、それが原因でエラーがランダムに発生してしまうことがあります。現在のASIC/FPGA設計で高性能と高品質を達成するには、専用の検証ツールを持つことが必要です。ALINT-PRO-CDCはRTL設計と機能設計の段階で致命的な問題を明らかにして、開発期間を大幅に短縮します。」

 

ALINT-PRO-CDCの検証手法は、スタティック構造検証デザイン制約設定ダイナミック機能検証の3要素から構成されます。

 

スタティック構造検証 スタティック解析ではまずクロックとリセット、非同期クロックドメインとその乗せ換えポイントを自動検出します。クロッシングをチェックして適切な同期ができているかどうかを確認し、ベストプラクティスと比較して検証します。使い勝手良く設計された直感的なGUIインタフェースからは、合成済みネットリストとクロックのグラフィカル表示やリセット・ビューワ、エラボレーション・ビューワなど、問題の解析に役立つツールが利用できます。

 

デザイン制約設定 クロック・ネットワーク解析の結果とデザイン・ポートと同期セル・ピンを結ぶ組み合わせパスを元に、ALINT-PRO-CDCはデザインの設定が行いやすいように初期SDCファイルを作成します。さらに、デザイン制約のカスタム拡張機能もあり、合成できないモジュールやカスタム同期機能を記述することが可能になり、より正確なリント違反を発見できます。

 

ダイナミック機能検証(Riviera-PROTMとの連携) ALINT-PRO-CDCは通常のシミュレーション・ベースの検証フローにメタスタビリティの挿入を可能にする、SystemVerilogテストベンチを自動で生成します。テストベンチはさらにアサーション文やカバレッジ文を記述してシンクロナイザの使用が正しいかどうか、クロックドメイン・クロッシングがカバーされているかどうかチェックできます。

 

販売について

ALINT-PRO-CDC 2015.01は現在提供中です。製品の詳細や無料評価版のダウンロードについては、次のページをご覧ください。 www.aldec.com/Products/ALINT-PRO-CDC

 

アルデックについて

1984年に設立されたアルデックは、エレクトロニクス・デザイン検証のインダストリ・リーダです。RTLデザイン作成、RTLシミュレータ、ハードウェア・アシステッド検証、SoC/ASICプロトタイピング、デザインルールチェック、IPコア、要求ライフサイクル管理、DO-254機能検証および軍事/航空宇宙向けソリューションといったパテントを取得したテクノロジを提供しています。www.aldec.com

 


Aldecはアルデックの商標です。その他全ての商標または登録商標は各所有者に帰属します。

Media Contact: アルデック・ジャパン株式会社
宮島 健
03-5312-1791
sales-jp@aldec.com
www.aldec.com

 



Printed version of site: www.aldec.com/jp/company/news/2015-01-29