アルデックは、VHDLシミュレーション性能をさらに向上

Date: 2012/11/05Type: Release

Henderson, NV – 2012年11月5日 — Aldec, Inc. (以下アルデック)は、混合言語・高機能検証プラットフォーム、Riviera-PRO™ 2012.10をリリースしたことを発表しました。今回のリリースでは、安定性や性能がさらに改善され、業界標準のSystemVerilog検証ライブラリの最新バージョンや新しい言語構造をサポートし、新しいデバッグツールの追加、および他社の主要EDAツールとのインタフェースも改良されました。

 

VHDLシミュレーションのパフォーマンスは前リリースよりも20%高くなりました。アルデックのR&Dプロジェクト・マネージャのMariusz Dykierekは「弊社は新規開発機能だけでなく、革新的なコアエンジン最適化によってVHDLおよびSystemVerilogシミュレーションのパフォーマンスを高め、複雑化と大規模化の進む現在のデザインをサポートしていきます。使いやすいデバッグツールと強力な混合言語シミュレーション・エンジンは大きな需要があります。アルデックはお客様が開発にかかる費用や時間を減らし、製品を早く市場に出すお手伝いをこれからも続けます。」と述べています。

 

Riviera-PRO 2012.10の特徴

コア・シミュレーションエンジン:

  • シミュレーション性能の改善 – VHDLシミュレーションが20%高速になりました!
  • SystemVerilog'2009とVHDL'2008の新言語構造のサポート
  • ライブラリの最新バージョンのサポート – UVM 1.1c, SystemC 2.3.0, OS-VVM™
  • 数百万ゲートの大規模デザインでの安定性向上

フレームワークと生産性:

  • 波形ビューワでコンポジットオブジェクト(仮想配列)を表示できるよう拡張
  • 波形上でオブジェクトの名前変更、およびコンテキスト検索が可能
  • ドラッグ&ドロップによる操作を追加

サードパーティツールとのインタフェース:

  • 新規MATLAB協調シミュレーション・インタフェース –  MATLABからRiviera-PROを起動可能
  • 最新のAlteraおよびXilinxのFPGA向けコンパイル済みシミュレーション・ライブラリ
  • Virtex-7をサポートするXilinx Vivado™ Design Suite最新リリースと互換
  • FSDBバージョン5.0へのアップデート – Verdi3 2012.07と互換

 

新機能・改善点のリスト:

Riviera-PRO 2012.10 Release Notes

 

「新製品情報」プレゼンテーション:

Riviera-PRO 2012.10 What's New 

 

供給状況

Riviera-PRO 2012.10は現在提供中です。
無償評価版ダウンロードや、チュートリアルなどのリソースについては、www.aldec.com/products/Riviera-PROをご覧ください。

 

アルデックについて

アルデック(本社 Henderson, Nevada)は、エレクトロニクス・デザイン検証のインダストリ・リーダです。RTLデザイン作成、RTLシミュレータ、ハードウェア・アシステッド検証、デザインルールチェック、IPコア、DO-254機能検証および軍事/航空宇宙向けソリューションといったパテントを取得したテクノロジを提供しています。 www.aldec.com

 


Aldecはアルデックの商標です。その他全ての商標または登録商標は各所有者に帰属します。

Media Contact: アルデック・ジャパン株式会社 
宮島 健 
03-5312-1791
sales-jp@aldec.com
www.aldec.com


Printed version of site: www.aldec.com/jp/company/news/2012-11-05/136