Error: Design unit <name_of_unit> not found in searched libraries: <list_of_libraries>

Description

When initializing the simulation of a Verilog design in Active-HDL, the following errors are observed in the Console window:

Error: Design unit <name_of_unit> not found in searched libraries: <list_of_libraries>
Error: E8005: Kernel process initialization failed.
Error: Simulation initialization failed.

Solution

Users need to specify the location of the libraries that are being used during simulation. For example, if you are using Verilog libraries, you would specify the location of the libraries by doing the following:

GUI specification

  1. Select Design | Settings from the main menu

  2. Go to Simulation | Verilog

  3. Click on the dotted square icon in the top right corner of the Verilog Libraries (-L) field

  4. Select the required library from the list and click OK

  5. Click Apply and then OK

Script specification

Use the -L switch in the asim/vsim command. For example:

vsim -work work -L unisim_ver top_level


Printed version of site: www.aldec.com/en/support/resources/documentation/faq/1581