Shared variable not a protected type

Description

What does the following warning mean and how I can fix it?

# Warning: COMP96_0564: test_interface.vhd : (87, 8): Shared variable is not of a protected type. (IEEE Std 1076-2002, 4.3.1.3)

Solution

VHDL 2002 standard uses protected types by default. VHDL code conforms to older standards with simple shared variable will lead to above warning. You can try any of the following:

  1. Use -93 compiler settings for GUI Tools | Preferences | Compilation | VHDL compiler and change the Standard version to 1076-1993 and for script use acom -93.

  2. Change the variables to protected type.

  3. Or you can just ignore the warnings, it means your code is not 2002 compatible.

If you want to read more on Shared variables, go to Active-HDL Help | Product Help | Contents | References | VHDL language reference guide | VHDL 2002 and click Shared variables.



Printed version of site: www.aldec.com/en/support/resources/documentation/faq/1152