Delta Count Overflow

Description

What does the following error message mean and how I can fix it?

# KERNEL: Delta count overflow - stopped. Try to increase the iterations limit in simulator preferences.

Solution

Try to increase the iteration limit. Go to Tools | Preferences | Simulation and increase the "Delta iterations limit" (default is 5000).

If you have an infinite loop, obviously a bigger limit does not help.

Here are the steps to diagnose the problem:

  1. Run the simulation to get the error. The simulation will break at a certain time with delta count overflow error. Note the time when it occurs.

  2. Now run the simulation again in debug mode and coverage to above time minus 1: asim -dbg -cc -cc_all <top level>.

  3. Type in the console: coverage clear to reset this data.

  4. Run the rest of the simulation to receive the delta count overflow error.

  5. Analyze the coverage result to find processes with the highest count score - they are suspected for the infinitive loop effect.

If you do not have a license for coverage: Instead of using coverage, keep hitting F7 on keyboard (or Simulation | Trace into) until it points to the respective file where the code loops infinitely.



Printed version of site: www.aldec.com/en/support/resources/documentation/faq/1024