Tool Assessment and Qualification Process

The purpose of tool assessment and qualification in DO-254 is to ensure that the tool is capable of performing the particular design or verification activity to an acceptable level of confidence for which the tool will be used. Prior to use of any tools in DO-254 for any design and verification activities, a tool assessment should be performed, and if necessary a basic tool qualification should be documented and recorded.

 

Aldec has done the due diligence to rigorously test its tools according to the stringent process defined in RTCA/DO-254 Section 11.4 Tool Assessment and Qualification Process. Whenever feasible, Aldec recommends manual review of the verification results in order to claim independent assessment. If manual review is not feasible, then Aldec provides specific Tool Qualification Data Packages for specific Aldec DO-254 tools.

 

DO-254/CTS™ Tool Qualification Data Package

Includes a comprehensive pre-tool qualification data package that the applicant can easily adapt into their life cycle data. This data package is recommended to be used for design assurance level (DAL) A and B FPGAs where reliance to the tool’s automatic capabilities is critical to testing the target FPGA. Included in the data package are:

 

ALINT-PRO™ Design Rule Checker Tool Qualification Package

The customizable tool qualification package includes the comprehensive test suite and documentation required to prove that the design rule checkers available in ALINT-PRO™ behave as intended for a user project. This package is recommended to be used for projects with A and B Design Assurance Level (DAL) where ALINT-PRO™ is used to enforce the HDL coding standard. The qualification package contains:

 

Active-HDL™ and Riviera-PRO™ Code Coverage Tool Qualification Data Package

Currently, the guidance described in RTCA/DO-254 Section 11.4.1 #4 states that when Code Coverage tool is used to satisfy Elemental Analysis, tool qualification is not needed. However, some certification authorities have required Code Coverage to undergo tool qualification for specific DO-254 programs.  Aldec has therefore done the due diligence to rigorously test Active-HDL™ and Riviera-PRO™ Code Coverage under stringent tool qualification process defined in RTCA/DO-254 section 11.4. The requirements generated to test Code Coverage are based on the executable constructs of VHDL Language Reference Manual (LRM) from chapter 4, 10 and 11 of IEEE Std 1076™-2008 VHDL LRM. Aldec provides a data package that includes VHDL test cases and extensive documentation containing the tool description, tool operational requirements, qualification test plan along with the test descriptions, and qualification test results. The qualification package proves that the Code Coverage Tool available in Active-HDL™ and Riviera-PRO™ shows accurate branch and statement coverage metrics.

 

Independent Tool Assessment of HDL Simulator

HDL simulators such as Active-HDL, Riviera-PRO and other 3rd-party HDL simulators can be independently assessed by DO-254/CTS. Since the testbench from RTL simulation can be reused by DO-254/CTS as test vectors during FPGA physical testing, mapping and matching the physical testing and RTL simulation results can be easily accomplished.

 

 



Printed version of site: www.aldec.com/en/solutions/do_254_compliance/tool_assessment_qualification_process--tool-qualification-plan-template