Open Source VHDL Verification Methodology (OSVVM)

OSVVMはVHDL全体の検証プロセスを合理化し、生産性を向上させ、開発時間を短縮するためにデザインされたライブラリスイートです。各ライブラリは独立した機能を提供するため選択的な採用が可能で、使いながら学ぶことができます。ディレクテッドテストでもランダムテストでも、OSVVMはユニット/RTLテストから複雑なFPGAやASICテストまで、簡潔で読みやすいテストケースの作成を容易にします。

OSVVMはSystemVerilog + UVMに匹敵する検証機能をVHDLに提供します。これには、トランザクションレベルのモデリング、検証コンポーネント、ソフトウェアとのコ・シミュレーション、ランダムテスト生成、セルフチェックテストサポート、ベリフィケーションデータ構造、HTMLとテキストによる包括的なテストレポート、同期プリミティブなどが含まれます。

OSVVMと優れたチームリードがあれば、VHDLエンジニアは誰でも検証を行うことができます。

The latest OSVVM library is included in the installation of the latest versions of Active-HDL and Riviera-PRO.

主な使用例

OSVVMを使用すると、VHDLエンジニアは単純なユニット/RTLレベルのテストと、複雑でランダム化されたフルチップまたはシステムレベルのテストの両方のVHDLテストベンチとテストケースを作成できます。

メリット

VHDL標準の開発に積極的に貢献しているVHDLのエキスパートによって開発されたOSVVMは、その専門知識を活用して以下のような先進の検証機能と利点を提供します:

ウェビナービデオ:VHDLによる優れたFPGA検証 パート1 - OSVVM: VHDLコミュニティのための最先端検証

VHDL FPGA検証手法の改善をお考えですか?OSVVMは理想的なソリューションです。検証に必要なすべての要素を備えています。学ぶべき新しい言語はありません。シンプルでパワフル、そして簡潔です。また、各パーツは個別に使用できるため、必要なときに必要なパーツを学び、採用することができます。

このウェビナーシリーズのパート1では、OSVVMの機能の概要を説明し、OSVVM検証フレームワーク、検証コンポーネント、簡単になったセルフチェックテスト、OSVVMログによるテスト印刷の簡素化、コンストレントランダムテスト、スコアボード、ファンクショナルカバレッジ、インテリジェントカバレッジランダム、プロトコルとパラメータチェック、テストウォッチドッグタイマー、テストレポートについて説明します。

 

 

OSVVMのその他のウェビナー録画

追加のリンク



Printed version of site: www.aldec.com/jp/solutions/functional_verification/osvvm